芯片后端之 PT 使用 report_timing 产生报告如何阅读

发布于:2024-06-26 ⋅ 阅读:(13) ⋅ 点赞:(0)

今天,就PT常用的命令,做一个介绍,希望对大家以后的工作,起到帮助作用。

在PrimeTime中,使用report_timing -delay max命令生成此报告。switch -delay max表示定时报告用于设置(这是默认值)。

首先,我们整体看一下通过report_timing 运行之后,报告产生的整体样式。

pt_shell> report_timing -from start_point -to end_point -delay_type max

  Startpoint: FF1 (rising edge-triggered flip-flop clocked by Clk)
  Endpoint: FF2 (rising edge-triggered flip-flop clocked by Clk)
  Path Group: Clk
  Path Type: max
  
  Point                                    Incr       Path
  -----------------------------------------------------------
  clock Clk (rise edge)                    0.00       0.00
  clock network delay (propagated)         1.10 *     1.10
  FF1/CLK (fdef1a15)                       0.00       1.10 r
  FF1/Q (fdef1a15)                         0.50 *     1.60 r
  U2/Y  (buf1a27)                          0.11 *     1.71 r
  U3/Y  (buf1a27)                          0.11 *     1.82 r
  FF2/D (fdef1a15)                         0.05 *     1.87 r
  data arrival time                                   1.87

  clock Clk (rise edge)                    4.00       4.00
  clock network delay (propagated)         1.00 *     5.00
  FF2/CLK (fdef1a15)                                  5.00 r
  library setup time                      -0.21 *     4.79
  data required time                                  4.79
  ------------------------------------------------------------
  data required time                                  4.79
  data arrival time                                  -1.87
  ------------------------------------------------------------
  slack (MET)                                         2.92

一 Header部分

  Startpoint: FF1 (rising edge-triggered flip-flop clocked by Clk)
  Endpoint: FF2 (rising edge-triggered flip-flop clocked by Clk)
  Path Group: Clk
  Path Type: max

二 Data arrival部分

  Point                                    Incr       Path
  -----------------------------------------------------------
  clock Clk (rise edge)                    0.00       0.00
  clock network delay (propagated)         1.10 *     1.10
  FF1/CLK (fdef1a15)                       0.00       1.10 r
  FF1/Q (fdef1a15)                         0.50 *     1.60 r
  U2/Y  (buf1a27)                          0.11 *     1.71 r
  U3/Y  (buf1a27)                          0.11 *     1.82 r
  FF2/D (fdef1a15)                         0.05 *     1.87 r
  data arrival time                                   1.87

三 Data required

  clock Clk (rise edge)                    4.00       4.00
  clock network delay (propagated)         1.00 *     5.00
  FF2/CLK (fdef1a15)                                  5.00 r
  library setup time                      -0.21 *     4.79
  data required time                                  4.79

 

 四  Slack

  ------------------------------------------------------------
  data required time                                  4.79
  data arrival time                                  -1.87
  ------------------------------------------------------------
  slack (MET)                                         2.92

********************************************************************************************************

pt_shell> report_timing -from start_point -to end_point -delay_type min

pt_shell> report_analysis_coverage